Teknoloji

Intel işlemci mimarileri için önümüzdeki birkaç yılın yol haritasını açıkladı

intel arm

Intel, yarı iletken teknolojileri alanındaki yenilikleri markalaştırma ve piyasaya sürmeye yönelik yol haritasını açıkladı. Şirketin pazartesi günü gerçekleştirdiği Intel Accelerated web yayınında CEO Pat Gelsinger, önümüzdeki beş yıla yönelik işlemci yol haritasını paylaştı. Ayrıca açıklama şirketin geniş açılımlarını, yeni çip ve paketleme teknolojilerini ve Intel’in işlemci alanında liderliğini şu şekilde yeniden kazanmasını sağlama nihai hedefiyle “yıllık yenilik temposu” vaadini içeriyor.

Gelecekteki Intel ürünleri, bu yıl 12. Nesil Alder Lake yongalarından başlayarak, artık hem kendisinin hem de yonga yapım endüstrisinin geri kalanının yıllardır kullandığı nanometre tabanlı düğüm isimlendirme sistemini kullanmayacak. Bunun yerine Intel, “sektördeki süreç düğümlerinin daha doğru bir görünümünü” ve Intel’in ürünlerinin bu manzaraya nasıl uyduğunu sağlayacağını söylediği yeni bir adlandırma şemasını kullanıma sunuyor.

intel mimari yol haritası

Bunun pratikteki uygulaması, bu yeni üçüncü nesil 10nm yongaların, 10nm tabanlı bir isim almak yerine (geçen yılın 10nm SuperFin yongaları gibi) “Intel 7” olarak anılacak olmasıdır.

İlk bakışta bu, Intel’in yakında çıkacak 10nm yongalarının, halihazırda TSMC’nin 7nm düğümünde veya Apple’ın 5nm M1 yongalarında bulunan AMD ürünlerinin yanında daha rekabetçi görünmesini sağlamak için tasarlanmış bir pazarlama taktiğine benziyor. Ve bu teknik olarak doğru olsa da, göründüğü kadar adil olmayan bir karşılaştırma sayılmaz. Modern yarı iletkenlerde, düğüm adları aslında bir çip üzerindeki bir transistörün boyutuna atıfta bulunmaz. ExtremeTech‘in belirttiği gibi, üç boyutlu paketleme teknolojileri ve yarı iletken tasarımının fiziksel gerçekleri gibi gelişmeler sayesinde 1997’den beri durum böyle değil.

Teknik açıdan bakıldığında Intel’in 10nm yongaları, benzer üretim teknolojilerini kullanan ve karşılaştırılabilir transistör yoğunluğu sunan, TSMC veya Samsung gibi rakiplerin “7nm” markalı donanımlarıyla büyük ölçüde eşdeğer görünüyor. Bu, ticari donanıma da dönüşen bir şey. Örneğin Intel’in mevcut 10nm yongalarının, AMD’nin son teknoloji 7nm Ryzen yongalarıyla hâlâ rekabet ettiğini görüyoruz.

Tüm bunlar, Intel’in burada yaptığı yeniden markalaşmasının, yeni terminolojiyle bu daha büyük “düğüm” değişim ilerlemeleri gerçekleştiğinde ayrıştırmayı zorlaştırsa bile, tamamen haksız olmadığını söylemektir.

Intel’in yeni yol haritası ve her bir adımın anlamı

Intel 7

Intel 7, Intel’in üçüncü nesil 10nm teknolojisinin yeni adı ve Intel’in 10nm SuperFin teknolojisinin (diğer adıyla Intel’in ikinci nesil 10nm yongaları, özellikle 11. Nesil Tiger Lake yongalarında bulunan) halefidir.

Intel, yeni Intel 7 donanımının, önceki nesle kıyasla vat başına performansta yaklaşık yüzde 10 ila yüzde 15 iyileştirme sağlayacağını veya donanım üreticilerinin performansı aynı şekilde korumayı tercih etmesi durumunda her zaman olduğu gibi, güç verimliliğini ve pil ömrünü artıracağını söylüyor.

İlk Intel 7 tabanlı ürünler, tüketici ürünleri için 2021’in sonunda çıkacak Alder Lake yongaları olacak. Bunların ön gösterimi zaten yılın başlarında yapılmıştı. Veri merkezleri için 2022’de çıkacak olan Sapphire Rapids yongaları da bu gruba dahil olacak.

Intel 4

Intel 4, resmi olarak Intel’in 7nm süreci olarak bilinen ve Intel’in üretim sorunlarının ardından geçen yaz 2023’e ertelemek zorunda kaldığını duyurduğu mimaridir.

Başlangıçta çıkışı 2021 için planlanan bu mimari, Intel’in EUV (aşırı ultraviyole) teknolojisini kullanarak bir sonraki büyük sıçramasını yapmasını işaret ediyor. Karşılaştırma yapacak olursak Samsung ve TSMC’nin 5nm düğüm ürünleri tarafından bu, zaten kullanılan bir şey. Bu mimari Intel’in 2011’den beri kullandığı geniş FinFET transistör mimarisini kullanmaya devam edecek. Tüm bu iyileştirmeler sayesinde Intel 4’ün milimetrekare başına yaklaşık 200-250 milyon transistör yoğunluğuna sahip olması bekleniyor. TSMC’nin mevcut 5nm düğümünde ise milimetrekare başına 171 milyon 300 bin transistör bulunuyor.

Şirket, Intel 4’ün toplam alanı azaltırken vat başına performansta yaklaşık yüzde 20’lik bir artış sunacağını söylüyor. Üretimin, 2023 için planlanan ilk Intel 4 ürünleriyle (tüketici ürünleri için Meteor Lake ve veri merkezi için Granite Rapids) birlikte 2022’nin ikinci yarısında başlaması planlanıyor.

Intel 3

2023’ün ikinci yarısında üretimine başlanması hedeflenen Intel 3, Intel’in önceki adlandırma şemasına göre ikinci nesil 7nm ürününün yeni adı oluyor. Intel 4 gibi, yine bir FinFET ürünü. Ancak Intel, Intel 4’e kıyasla vat başına performansta yaklaşık yüzde 18’lik bir artış için ek optimizasyonların ve EUV kullanımının bulunacağını söylüyor. Intel 3 yongaları için çıkış tarihi veya ürün adı verilmiyor. Bu işlemciler henüz duyurulmadı, ancak çıkışları muhtemelen 2024’te gerçekleşecek.

Intel 20A

Intel 20A, eski düzen altında 7nm düğümünü izleyen mimari olacak yeni nesil Intel teknolojilerinin adıdır. Aynı zamanda, Intel’in 2011’den bu yana kullandığı ve önümüzdeki birkaç yıl boyunca da kullanmaya devam edeceği FinFET’ten bu yana, “RibbonFET” adlı ilk yeni transistör mimarisini kullanıma süreceği mimari olacak. Yeni mimari, daha fazla transistör yoğunluğu ve daha küçük boyutlar vadediyor. Şirket için temelde yeni bir transistör teknolojisi olan, Intel’in ilk çok yönlü transistörünü işaret ediyor. Ek olarak Intel 20A, gücün öne yuvarlanmasını gerektirmek yerine yongaların, yonganın arkasından güç almasını sağlayan yeni bir teknoloji olan “PowerVia”nın kullanımını da beraberinde getirecek.

İsimlendirmedeki “20A”, yarı iletken tasarımının “Ångstrom çağını” başlattığını işaret ediyor. Bir Ångstrom, nanometreden daha küçük bir ölçüm birimidir. (20Å = 2nm, ancak yukarıdaki diğer yeniden markalı Intel adları gibi, Intel 20A da ürünlerin kendisinde belirli bir ölçüme atıfta bulunmuyor.)

Intel’in 20A mimarisinin 2024’e kadar çıkması beklenmiyor. Üstelik Intel 3 gibi henüz resmi olarak ilan edilmiş bir çıkış tarihi veya ürünü yok.

Intel 18A

Intel 18A, şu anda Intel’in yol haritasının gelecekteki en uzak noktasıdır. “Transistör performansında bir başka büyük sıçrama” için ikinci nesil Intel RibbotFET teknolojisini içerecek. Intel, Intel 18A’nın “2025 başı” için geliştirilmekte olduğunu ve bu nesil teknolojiyle birlikte yarı iletken teknolojileri içinde liderliğini yeniden kurmasını beklediğini söylüyor.

intel powervia

Foveros yonga paketleme teknolojisindeki yenilikler

Tüm süreç yol haritası haberlerine ek olarak Intel, Foveros yonga yığın paketleme teknolojilerinde (ikinci nesli 2023’te Intel 4’ün Meteor Lake işlemcileriyle piyasaya sürülecek olan) iki önemli güncellemeyi de duyurdu. Bu yeni tasarım, geleneksel bir tasarıma kıyasla dahili alandan tasarruf etmek için beş CPU çekirdeğini, entegre bir GPU’yu ve DRAM’i kompakt bir yığında bir araya getiriyor ve Intel’in Lakefield yongaları gibi öğeleri tek bir kalıpta topluyor.

Foveros Omni, belirli boyutlarından bağımsız olarak katmanları karıştırıp eşleştirmeyi kolaylaştırarak, örneğin, bir yığındaki en üstteki katmandan daha küçük bir temel katmana izin vererek, istiflenmiş yongalarda daha fazla çeşitliliğe olanak tanıyacak. Ve Foveros Direct, bileşenler arasında doğrudan bakır-bakır bağlanmasına izin vererek direnci azaltacaktır. Her iki yeni Foveros teknolojisinin de 2023’te üretime geçmesi planlanıyor.

Intel 2025 yılında liderliği geri almayı hedefliyor

Intel’in yeni isimlendirme sistemi, şirketin mevcut ve gelecekteki ürünlerini rakiplerine karşı daha doğru bir şekilde yeniden bağlamsallaştırmasına yardımcı olabilir, ancak şu an için Intel’in geride kaldığı gerçeği devam ediyor. Intel 7’nin diğer dökümhanelerin 7nm ürünleriyle eşit olduğunu kabul etsek bile, bu dökümhaneler 7nm yongalarını ve 5nm donanımlarını çoktan geride bıraktı. Bu Apple, AMD, Nvidia, Qualcomm ve neredeyse diğer tüm büyük teknoloji şirketleri gibi bu dış işlemci dökümhanelerine bağlı olan şirketlerin, Intel’in en iyi çalışmalarından daha gelişmiş çipler alabileceği anlamına geliyor. Örneğin, Apple’ın üstün M1 Mac’leri, zaten TSMC’den 5nm yongaları kullanıyor ve Intel’in karşılaştırılabilir ürünlerini kolayca geride bırakıyor. AMD’nin 2022 gibi erken bir tarihte çıkış için 5nm Zen 4 işlemciler üzerinde de çalıştığı söyleniyor.

Yol haritasının iddialı, yıllık temposuna rağmen Intel hâlâ arkadan gelen ve yakalamaya çalışan oyuncu konumunda bulunuyor. Şirket 2024’te çıkacak Intel 20A’ya kadar sektörün geri kalanına tam olarak yetişmeyi beklemiyor. Ancak Intel 18A ile 2025’ten itibaren yarı iletken işinde liderliği geri kazanmayı hedefliyor. Bu arada tüm bu yol haritası Intel’in hem 10nm hem de 7nm süreçlerinde şimdiye kadar yaşadığı gecikmeleri ve engelleri yaşamayacağını varsayıyor.

İLGİLİ HABERLER